Questões sobre Eletrônica analógica e digital

Pesquise questões de concurso nos filtros abaixo

Listagem de Questões sobre Eletrônica analógica e digital

Em uma declaração de um “covergroup”, a seguinte definição de “bins” foi encontrada.

bins meus_bins [4] = { [1:10], 1, 4, 7};

Como os bins são distribuídos?

Observe a seguinte declaração de covergroup em SystemVerilog 2009.

covergroup meu_cg @(posedge clk);

coverpoint v

{

bins b1 = (1 => 2), ([3:4]=>5,6);

bins b2[] = (1 => 2 => 3), ([3:4]=>5,6);

bins b3 = (5 [*2]);

}

endgroup

Sobre a definição acima é correto afirmar:

Qual das seguintes tarefas não está diretamente relacionada com um testbench?

Qual a desvantagem de se utilizar uma variável local (“local variable”) em uma asserção em SVA, como no exemplo que se segue?

property p;

bit [3:0] x;

@(posedge clk)

!a [*0:$] ##1 (a,x = d) ##1 !a [*0:$] |=> (a && x == c)

endproperty

Navegue em mais matérias e assuntos

{TITLE}

{CONTENT}

{TITLE}

{CONTENT}
Estude Grátis