Observe a seguinte declaração de covergroup em SystemVeri...

Observe a seguinte declaração de covergroup em SystemVerilog 2009.

covergroup meu_cg @(posedge clk);

coverpoint v

{

bins b1 = (1 => 2), ([3:4]=>5,6);

bins b2[] = (1 => 2 => 3), ([3:4]=>5,6);

bins b3 = (5 [*2]);

}

endgroup

Sobre a definição acima é correto afirmar:

Navegue em mais questões

{TITLE}

{CONTENT}

{TITLE}

{CONTENT}
Estude Grátis