Questões de Engenharia de Telecomunicações do ano 2012

Pesquise questões de concurso nos filtros abaixo

Listagem de Questões de Engenharia de Telecomunicações do ano 2012

Em SystemVerilog 2009, se a expressão amostrada “a” é avaliada como verdadeira nos ciclos 1, 2 e 8; a expressão amostrada “b” é avaliada como verdadeira nos ciclos 9, 10, 11, 12, 13 e 14; a expressão amostrada “c” é avaliada como verdadeira nos ciclos 2, 3 e 8; a expressão “d” é avaliada como verdadeira nos ciclos 3, 4, 5, 6, 10, 11, 12, 13 e 14; e a expressão amostrada “e” é avaliada como verdadeira nos ciclos 4, 5, 6, 12, 13 e 14; em quais ciclos a expressão em SVA “(a ##[1:5] b) and (c ##2 d ##2 e)” será avaliada como verdadeira?

2:10] ##1 c” é equivalente a “a ##1 ((1[*0:$] ##1 b) [*2:10]) ##1 c”

I. Em verificação de caixa preta (“black-box verification”), a verificação funcional é realizada sem conhecimento da implementação do RTL.

II. Em verificação de caixa branca (“white-box verification”), a verificação funcional é realizada tendo visibilidade completa do projeto digital implementado.

Sobre as afirmações acima, pode-se dizer que:

Sobre cobertura de parâmetros de projeto em RTL parametrizável no nível mais alto, é correto afirmar.

Em uma declaração de um “covergroup”, a seguinte definição de “bins” foi encontrada.

bins meus_bins [4] = { [1:10], 1, 4, 7};

Como os bins são distribuídos?

Navegue em mais matérias e assuntos

{TITLE}

{CONTENT}

{TITLE}

{CONTENT}
Estude Grátis