Qual o resultado da simulação do código abaixo, quando co...

Qual o resultado da simulação do código abaixo, quando compilado com um simulador que suporta SystemVerilog 2009?

module test;

logic [32:0] o = 'bx;

initial $display("o = %b", o);

endmodule

Navegue em mais questões

{TITLE}

{CONTENT}

{TITLE}

{CONTENT}
Estude Grátis